Document details

Projecto, validação e concretização do módulo MICTP do primeiro nível do filtro de eventos do detector ATLAS

Author(s): Fernandes, Bruno Jesus Rodrigues

Date: 2009

Persistent ID: http://hdl.handle.net/10451/3681

Origin: Repositório da Universidade de Lisboa

Subject(s): Engenharia física; Teses de mestrado - 2009


Description

Tese de mestrado, Engenharia Física, Universidade de Lisboa, Faculdade de Ciências, 2009

Na experiência ATLAS inserida no acelerador LHC do CERN, feixes de protões colidem 40 milhões de vezes por segundo, criando um fluxo de dados superior a 40 Terabyte/seg. Com o propósito de armazenar apenas os dados interessantes para a Física, foi projectado um filtro de eventos (trigger) que avalia em tempo real se a informação associada a uma dada colisão tem interesse científico. O sistema de trigger do ATLAS é composto por 3 etapas. Na primeira etapa, denominada First Level Trigger (FLT), é reduzida a taxa de informação de 40 MHz para 75 KHz, sendo todo o processo de selecção feito primariamente em hardware. O FLT recebe novos eventos a cada intervalo de 25 ns e tem de decidir rapidamente, em apenas 2.5 μs, se o evento deverá passar à segunda etapa do processo. O módulo MICTP, um dos dois subsistemas do FLT do ATLAS, está actualmente a ser re-projectado. O primeiro protótipo, com quase uma década, era constituído por 7 CPLDs (circuitos reconfiguráveis), enquanto que a placa onde o referido módulo vai agora ser aplicado contém uma única FPGA que implementa toda a lógica dos subsistemas e vai permitir a redução substancial da complexidade e do consumo de energia. As actividades relatadas neste documento consistem na validação e concretização do módulo MICTP, concluindo assim as actividades iniciadas pela escrita em VHDL das funções desse mesmo módulo, em 2008. O MICTP realiza a recepção e a formatação dos sinais provenientes do Central Trigger Processor (CTP) do ATLAS e do acelerador LHC, redistribui-os por todo o sistema MUCTPI, re-envia as multiplicidades dos muões candidatos provenientes dos 16 MIOCTs e monitoriza todo o módulo. O módulo possui uma RAM contendo dados de trigger e multiplicidades pré-configurados para efectuar testes, serve de interface com o dispositivo delay25 através de um controlador I2C e transmite informação para o módulo MIROD através da backplane MIBAK. As funções em VHDL são validadas a nível funcional e temporal através de simulações intensivas. Os resultados obtidos da síntese do código que constitui o MICTP têm que cumprir todos os requisitos impostos no projecto, nos quais se incluem a menor utilização de recursos da FPGA que seja possível e a satisfação das especificações temporais de recepção/emissão de dados para os outros blocos que integram a placa. Alcançados estes objectivos, é então desenvolvido o software de baixo nível que permite configurar o MICTP e são realizados testes em laboratório que avaliam o funcionamento do módulo na FPGA e aferem a comunicação deste com os restantes módulos com que interfaceia. Fazendo uma apreciação mais geral, conclui-se que este trabalho engloba todas as etapas incluídas na elaboração de um projecto de circuitos digitais em FPGAs, desde o estudo aprofundado de circuitos reconfiguráveis e de algumas das ferramentas modernas de projecto de electrónica, até ao desenvolvimento de competências na especificação de sistemas digitais complexos na linguagem VHDL, e à familiarização com a electrónica associada à detecção de muões e ao processamento de informação da experiência ATLAS.

Document Type Master thesis
Language Portuguese
Advisor(s) Augusto, José António Soares, 1960-
Contributor(s) Repositório da Universidade de Lisboa
facebook logo  linkedin logo  twitter logo 
mendeley logo